[SystemVerilog] ターミナルコマンドを実行 $system

システムタスク $system で C の sytem 関数を呼べます。

戻り値は sytem 関数の戻り値(int)のため、実行結果の文字列の取得などは直接できません(ファイルに出力してそれを読み取るといことはできるけど……)。

システム時刻(秒数)を表示する場合


initial begin
$system("date +%s.%N");
end
view raw

gistfile1.v

hosted with ❤ by GitHub

See Also

  • IEEE Std 1800™-2012: 20.18.1 $system
スポンサーリンク

シェアする

  • このエントリーをはてなブックマークに追加

フォローする

スポンサーリンク