[Verilog] 最大値から必要な bit 幅を求める $clog2

システムタスク $clog2 が使えます。2 を底とする対数の天井関数の結果が得られます。

$clog2 の結果 + 1 が必要な bit 幅です。使えるのは、Verilog 2005 からかな?

See Also

  • IEEE Std 1364™-2005: 17.11.1 Integer math functions
  • IEEE Std 1800™-2012: 20.8.1 Integer math functions
スポンサーリンク

シェアする

  • このエントリーをはてなブックマークに追加

フォローする

スポンサーリンク