[Verilog] ファイルが存在するかチェック

$fopen を使う感じかな。これだと Warning がツールによって表示されると思うけど。


integer fd;
fd = $fopen("filename", "rb");
if (fd !== 0) begin
$fclose(fd);
// ファイルあり!
end
view raw

gistfile1.v

hosted with ❤ by GitHub
スポンサーリンク

シェアする

  • このエントリーをはてなブックマークに追加

フォローする

スポンサーリンク